tag:blogger.com,1999:blog-77369805990608349852024-03-13T04:45:52.090-07:00Computer Programs With Source CodeIf you are worried about computer program. Now you can find all computer programs here.Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.comBlogger35125tag:blogger.com,1999:blog-7736980599060834985.post-68769291354650098082015-08-18T02:45:00.000-07:002015-08-18T02:45:07.269-07:00To evaluate algebraic exp(ax+b)/(ax-b)<div dir="ltr" style="text-align: left;" trbidi="on">
<br />
<b>AIM:</b> To evaluate algebraic exp(ax+b)/(ax-b)<br />
<br />
<b>ALGORITHM:</b><br />
<b> </b><br />Step1: start<br />Step2: input a,b,x,s<br />Step3: s= (a*x+b)/(a*x-b)<br />Step4: Result s<br />Step 5: stop<br />
<br />
<b>FLOW CHART:</b><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<b style="margin-left: 1em; margin-right: 1em;"><img alt="" src="data:image/png;base64,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" /> </b></div>
<div class="separator" style="clear: both; text-align: justify;">
<b><span style="margin-left: 1em; margin-right: 1em;">PROGRAM:</span></b></div>
<div class="separator" style="clear: both; text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: justify;">
<b><span style="margin-left: 1em; margin-right: 1em;">main()</span></b></div>
<div class="separator" style="clear: both; text-align: justify;">
<b><span style="margin-left: 1em; margin-right: 1em;">{<br /> int a,b,x;<br /> float s;<br /> clrscr();<br /> printf("enter the values of a,b,x...");<br /> scanf("%d%d%d",&a,&b,&x);<br /> s=(a*x+b)/(a*x-b);<br /> printf("the value of s=%f",s);<br /> } </span></b></div>
<div class="separator" style="clear: both; text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: justify;">
<b><span style="margin-left: 1em; margin-right: 1em;">Result:</span></b></div>
<div class="separator" style="clear: both; text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: justify;">
<b><span style="margin-left: 1em; margin-right: 1em;">Enter the values of a,b,x… 1 3 2<br /> The value of s=5 </span></b><b style="margin-left: 1em; margin-right: 1em;"></b></div>
<div class="separator" style="clear: both; text-align: justify;">
<b style="margin-left: 1em; margin-right: 1em;"><br /></b></div>
<div class="separator" style="clear: both; text-align: justify;">
<b style="margin-left: 1em; margin-right: 1em;"><br /></b></div>
</div>
Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-18958033074445550022011-10-01T03:11:00.000-07:002011-10-01T03:11:03.640-07:00Simulation and verification of NOR gate<div dir="ltr" style="text-align: left;" trbidi="on"><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Simulation and verification of NOR gate.</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">entity nor2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>port(a,b:in bit;y:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end nor2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">architecture nor2 of nor2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>y<=a nor b;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end nor2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><img alt="" src="data:image/png;base64,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" /><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b></div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b></div><div class="MsoNormal"><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> </div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">RESULT:</span></b></div><div class="MsoNormal"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">NOR gate is simulated and verified.</span></div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-25228422488408671782011-10-01T03:06:00.000-07:002011-10-01T03:06:56.178-07:00Simulation and verification of NAND gate<div dir="ltr" style="text-align: left;" trbidi="on"><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Simulation and verification of NAND gate.</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">entity nand2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>port(a,b:in bit;y:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end nand2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">architecture nand2 of nand2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>y<=a nand b;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end nand2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><img alt="" src="data:image/png;base64,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" /><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b></div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b></div><div class="MsoNormal"><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> </div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">RESULT: </span></b></div><div class="MsoNormal"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">NAND gate is simulated and verified.</span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-32982896700355725412011-10-01T03:02:00.000-07:002011-10-01T03:02:03.388-07:00Simulation and verification of XOR logic gates<div dir="ltr" style="text-align: left;" trbidi="on"><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Simulation and verification of XOR logic gates.</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">entity xor2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>port(a,b:in bit;y:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end xor2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">architecture xor2 of xor2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>y<=a xor b ;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end xor2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><img alt="" src="data:image/png;base64,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" /><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b></div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"><br />
</span></b></div><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">RESULT: </span></b></div><div class="MsoNormal"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">XOR gate is simulated and verified</span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-1119292864182861262011-10-01T02:58:00.000-07:002011-10-01T02:59:27.278-07:00Simulation and verification of OR gate<div dir="ltr" style="text-align: left;" trbidi="on"><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">Simulation and verification of OR gate.</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">Library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">entity or2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> port(a,b:in bit;y:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">end or2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">architecture or2 of or2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> y<=a or b;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">end or2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><img alt="" src="data:image/png;base64,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" /><br />
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Verdana","sans-serif";"></span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">RESULT: </span></b></div><div class="MsoNormal"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">OR gate is simulated and verified.</span><span style="font-family: "Verdana","sans-serif";"></span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-86298757051785452782011-10-01T02:55:00.000-07:002011-10-01T03:03:52.141-07:00Simulation and Verification of AND Gate<div dir="ltr" style="text-align: left;" trbidi="on"><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">Simulation and Verification of AND Gate.</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">Library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">entity and2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> port(a,b:in bit;y:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">end and2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">architecture and2 of and2 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> y <= a and b;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">end and2;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><img alt="" src="data:image/png;base64,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" /><br />
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Verdana","sans-serif";"></span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">RESULT: </span></b></div><div class="MsoNormal"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">AND gate is simulated and verified</span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-34682324068949738412011-10-01T02:51:00.000-07:002011-10-01T02:51:26.387-07:00Design and Verify Full Subtractor by using Dataflow Style<div dir="ltr" style="text-align: left;" trbidi="on"><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">Design and verify full subtractor by using dataflow style .</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">entity fs1 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> port(a,b,c:in bit;d,bo:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">end fs1;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">architecture fs1 of fs1 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> d<=a xor b xor c;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;"> bo<=((not a)and b)or(b xor c);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">end fs1;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><img alt="" src="data:image/png;base64,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" /></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt;">RESULT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;">Full subtractor is simulated and verified</span><span style="font-family: "Verdana","sans-serif";"></span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-52875243461046585502011-10-01T02:45:00.000-07:002011-10-01T02:45:22.442-07:00Design and Verify Half Subtractor by using Dataflow Style<div dir="ltr" style="text-align: left;" trbidi="on"><!--[if !mso]> <style>
v\:* {behavior:url(#default#VML);}
o\:* {behavior:url(#default#VML);}
w\:* {behavior:url(#default#VML);}
.shape {behavior:url(#default#VML);}
</style> <![endif]--><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves>false</w:TrackMoves> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">AIM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Design and verify half subtractor by using dataflow style .</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">PROGRAM:</span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Library ieee;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">use ieee.std_logic_1164.all;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">entity hs1 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>port(a,b:in bit;d,bo:out bit);</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end hs1;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">architecture hs1 of hs1 is</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">begin</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>d<=a xor b;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;"><span style="mso-tab-count: 1;"> </span>bo<=(not a) and b;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">end hs1;</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">SIMULATION OUTPUT:</span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><b><span style="font-family: "Verdana","sans-serif"; font-size: 12pt; line-height: 115%;"> </span></b><img alt="" src="data:image/png;base64,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" /><br />
<b><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"></span></b></div><div class="MsoNormal"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12pt;">RESULT: </span></b></div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;"><span style="font-family: "Verdana","sans-serif"; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT; mso-bidi-font-weight: bold;">Half subtractor is simulated and verified</span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-6773303869319465982011-09-27T09:52:00.000-07:002011-09-27T09:54:37.762-07:00Circular Convolution<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>AIM</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">To verify Circular Convolution.</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>EQUIPMENTS:</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Operating System – Windows XP</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Constructor - Simulator</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Software - CCStudio 3 & MATLAB 7.5</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>THEORY</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">Circular convolution is another way of finding the convolution sum of two input signals. It resembles the linear convolution, except that the sample values of one of the input signals is folded and right shifted before the convolution sum is found. Also note that circular convolution could also be found by taking the DFT of the two input signals and finding the product of the two frequency domain signals. The Inverse DFT of the product would give the output of the signal in the time domain which is the circular convolution output. The two input signals could have been of varying sample lengths. But we take the DFT of higher point, which ever signals levels to. For eg. If one of the signal is of length 256 and the other spans 51 samples, then we could only take 256 point DFT. So the output of IDFT would be containing 256 samples instead of 306 samples, which follows N1+N2 – 1 where N1 & N2 are the lengths 256 and 51 respectively of the two inputs. Thus the output which should have been 306 samples long is fitted into 256 samples. The 256 points end up being a distorted version of the correct signal. This process is called circular convolution.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><div class="MsoNormal" style="line-height: normal; margin-bottom: 0in;"><span style="font-size: small;">/* program to implement circular convolution */</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: 0in;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>#include<stdio.h></b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>int m,n,x[30],h[30],y[30],i,j, k,x2[30],a[30];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>void main()</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>{</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf(" enter the length of the first sequence\n");</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> scanf("%d",&m);</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf(" enter the length of the second sequence\n");</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> scanf("%d",&n);</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf(" enter the first sequence\n");</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<m;i++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> scanf("%d",&x[i]);</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf(" enter the second sequence\n");</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(j=0;j<n;j++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> scanf("%d",&h[j]);</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> if(m-n!=0) /*If length of both sequences are not equal*/</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> {</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> if(m>n) /* Pad the smaller sequence with zero*/</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> { </b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=n;i<m;i++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> h[i]=0;</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> n=m;</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> }</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=m;i<n;i++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> x[i]=0;</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> m=n;</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> }</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y[0]=0;</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> a[0]=h[0];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(j=1;j<n;j++) /*folding h(n) to h(-n)*/</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> a[j]=h[n-j];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> /*Circular convolution*/</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<n;i++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y[0]+=x[i]*a[i];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(k=1;k<n;k++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> {</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y[k]=0;</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> /*circular shift*/</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(j=1;j<n;j++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> x2[j]=a[j-1];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> x2[0]=a[n-1];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<n;i++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> {</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> a[i]=x2[i];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y[k]+=x[i]*x2[i];</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> }</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> }</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> /*displaying the result*/</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf(" the circular convolution is\n");</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<n;i++)</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("%d \t",y[i]);</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>}</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b><span style="color: black;">OUTPUT:-</span></b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b><span style="color: black;"><br />
</span></b></span><span style="color: black; font-size: small;">Enter the first sequence</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="color: black; font-size: small;">5 6 7<br />
Enter the second sequence<br />
7 8 5 4</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="color: black; font-size: small;"><br />
the circular convolution is<br />
94 110 122 106</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b><br />
</b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><img alt="" height="296" src="data:image/png;base64,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" width="400" /> </span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: 0in;"><span style="font-size: small;"><b>%circular convolution program</b></span></div><div class="MsoNormal" style="line-height: normal; margin-bottom: 0in;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>clc;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>clear all;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>close all;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>disp('circular convolution program');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>x=input('enter i/p x(n):');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>m=length(x);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>h=input('enter i/p sequence h(n)');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>n=length(h);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>subplot(2,2,1), stem(x);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>title('i/p sequencce x(n)is:');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>xlabel('---->n');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>ylabel('---->x(n)');grid;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>subplot(2,2,2), stem(h);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>title('i/p sequencce h(n)is:');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>xlabel('---->n');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>ylabel('---->h(n)');grid;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>disp('circular convolution of x(n) & h(n) is y(n):');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>if(m-n~=0)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> if(m>n)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> h=[h,zeros(1,m-n)];</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> n=m;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> x=[x,zeros(1,n-m)];</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> m=n;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>y=zeros(1,n);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>y(1)=0;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>a(1)=h(1);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>for j=2:n</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> a(j)=h(n-j+2);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>%ciruclar conv</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>for i=1:n</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y(1)=y(1)+x(i)*a(i);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>for k=2:n</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y(k)=0;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> % circular shift</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for j=2:n</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> x2(j)=a(j-1); </b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>x2(1)=a(n);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>for i=1:n</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> if(i<n+1)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> a(i)=x2(i);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y(k)=y(k)+x(i)*a(i);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>y</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>subplot(2,2,[3,4]),stem(y);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>title('convolution of x(n) & h(n) is:');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>xlabel('---->n');</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><b>ylabel('---->y(n)');grid;</b></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><b><span style="color: black;">Result :</span></b></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><img alt="" height="300" src="data:image/png;base64,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" width="400" /><b><span style="color: black;"> </span> </b></span></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com3tag:blogger.com,1999:blog-7736980599060834985.post-83775427484850986972011-09-27T09:09:00.000-07:002011-09-27T09:21:29.537-07:00Linear Convolution<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>AIM:</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">To verify Linear Convolution.</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>EQUIPMENTS:</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Operating System – Windows XP</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Constructor - Simulator</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Software - CCStudio 3 & MATLAB 7.5</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>THEORY:</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">Convolution is a formal mathematical operation, just as multiplication, addition, and integration. Addition takes two numbers and produces a third number, while convolution takes two signals and produces a third signal. Convolution is used in the mathematics of many fields, such as probability and statistics. In linear systems, convolution is used to describe the relationship between three signals of interest: the input signal, the impulse response, and the output signal.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><img alt="" src="data:image/png;base64,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" /> </span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">In this equation, x1(k), x2(n-k) and y(n) represent the input to and output from the system at time n. Here we could see that one of the input is shifted in time by a value every time it is multiplied with the other input signal. Linear Convolution is quite often used as a method of implementing filters of various types.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>PROGRAM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span><br />
<span style="font-size: small;">// Linear convolution program in c language using CCStudio</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><br />
</b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>#include<stdio.h></b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b><br />
int x[15],h[15],y[15];</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b><br />
main()<br />
{</b> <b> int i,j,m,n;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b><br />
</b><b> printf("\n enter value for m");</b> <b> </b></span> <br />
<span style="font-size: small;"><b> scanf("%d",&m);</b> </span><br />
<span style="font-size: small;"><b> printf("\n enter value for n");</b></span><br />
<span style="font-size: small;"><b> </b> <b> scanf("%d",&n);</b></span> </div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("Enter values for i/p x(n):\n");</b></span><br />
</div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<m;i++)</b> <b> </b></span><br />
<span style="font-size: small;"><b> scanf("%d",&x[i]);</b> <b> </b></span><br />
<span style="font-size: small;"><b> </b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("Enter Values for i/p h(n) \n");</b></span><br />
<span style="font-size: small;"><b> </b> <b> for(i=0;i<n; i++)</b> <b> </b></span><br />
<span style="font-size: small;"><b> scanf("%d",&h[i]); </b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> // padding of zeors</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=m;i<=m+n-1;i++)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> x[i]=0;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=n;i<=m+n-1;i++)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> h[i]=0;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> /* convolution operation */</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<m+n-1;i++)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> { </b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y[i]=0;</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(j=0;j<=i;j++)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> {</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> y[i]=y[i]+(x[j]*h[i-j]);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> }</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> }</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> //displaying the o/p</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> for(i=0;i<m+n-1;i++)</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("\n The Value of output y[%d]=%d",i,y[i]);</b></span></div><span style="font-size: small;"><b><span style="color: blue;"> </span></b></span><br />
<div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><b>}</b></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><b><span style="color: black;">Result:</span></b></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><b><span style="color: black;"><br />
</span></b></span><span style="font-size: small;"><span style="color: black;">enter value for m 5<br />
enter value for n 5<br />
Enter values for i/p<br />
1 2 3 4 5<br />
Enter Values for h<br />
1 2 3 4 5<br />
The Value of output y[0]=1<br />
The Value of output y[1]=4<br />
The Value of output y[2]=10<br />
The Value of output y[3]=20<br />
The Value of output y[4]=35<br />
The Value of output y[5]=44<br />
The Value of output y[6]=46<br />
The Value of output y[7]=40<br />
The Value of output y[8]=25</span></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><span style="color: black;"><b>% MATLAB program for linear convolution</b></span></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><span style="color: black;"><b> </b><br />
<b><span style="color: blue;">%linear convolution program</span></b></span></span></div><div class="MsoNormal" style="color: blue;"><div style="color: blue;"><span style="font-size: small;"><b><br />
</b></span></div><div style="color: blue;"><span style="font-size: small;"><b>clc;<br />
clear all;<br />
close all;<br />
disp('linear convolution program');</b></span></div><div style="color: blue;"><span style="font-size: small;"><b><br />
x=input('enter i/p x(n):');<br />
m=length(x);<br />
h=input('enter i/p h(n):');<br />
n=length(h);</b></span></div><div style="color: blue;"><span style="font-size: small;"><b><br />
x=[x,zeros(1,n)];<br />
subplot(2,2,1), stem(x);<br />
title('i/p sequencce x(n)is:');<br />
xlabel('---->n');<br />
ylabel('---->x(n)');grid;</b></span></div><div style="color: blue;"><span style="font-size: small;"><b><br />
h=[h,zeros(1,m)];<br />
subplot(2,2,2), stem(h);<br />
title('i/p sequencce h(n)is:');<br />
xlabel('---->n');<br />
ylabel('---->h(n)');grid;</b></span></div><div style="color: blue;"><span style="font-size: small;"><b>disp('convolution of x(n) & h(n) is y(n):');</b></span></div><div style="color: blue;"><span style="font-size: small;"><b>y=zeros(1,m+n-1);</b></span></div><div style="color: blue;"><span style="font-size: small;"><b><br />
for i=1:m+n-1<br />
y(i)=0;<br />
for j=1:m+n-1<br />
if(j<i+1)<br />
y(i)=y(i)+x(j)*h(i-j+1);<br />
end<br />
end<br />
end</b></span></div><div style="color: blue;"><span style="font-size: small;"><b><br />
y<br />
subplot(2,2,[3,4]),stem(y);<br />
title('convolution of x(n) & h(n) is :');<br />
xlabel('---->n');<br />
ylabel('---->y(n)');grid; </b></span></div><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><span style="color: black;"><b>Result :</b><br />
</span></span></div><div class="MsoNormal" style="color: blue;"><span style="font-size: small;"><img alt="" height="300" src="data:image/png;base64,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" width="400" /></span><span style="font-size: small;"><span style="color: black;"> </span> </span></div><span style="color: blue; font-size: small;"> </span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-46182195054633604832011-09-26T10:27:00.000-07:002011-09-26T10:55:54.302-07:00Design and Verify Full Adder by using Dataflow Style<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>AIM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">Design and Verify Full Adder by using Dataflow Style .</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>PROGRAM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Library ieee;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>use ieee.std_logic_1164.all;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>entity fa1 is</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> port(a,b,c:in bit;s,cout:out bit);</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>end fa1;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>architecture fa1 of fa1 is</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>begin</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> s<=a xor b xor c;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> cout<=(a and b)or(a and c)or (b and c);</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;">end fa1;</span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">SIMULATION OUTPUT:</span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><img alt="" src="data:image/png;base64,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" /><b><span style="line-height: 115%;"><span style="color: black;"> </span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">RESULT: </span></span></b></span><span style="font-size: small; line-height: 115%;"><span style="color: black;">Full adder is simulated and verified </span></span><span style="font-size: small;"><b><span style="line-height: 115%;"> </span></b></span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-18791189187934340332011-09-26T10:17:00.000-07:002011-09-26T10:17:31.005-07:00Design and Verify Full Subtractor by Using Behavioural Model with if,elsif & then Statements<div dir="ltr" style="text-align: left;" trbidi="on"><b>AIM:</b><br />
<br />
Design and verify full subtractor by using behavioural model with if,elsif & then<br />
statements.<br />
<br />
<b>PROGRAM:</b><br />
<br />
<!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">library ieee;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">use ieee.std_logic_1164.all;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">entity fullsub is</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span>port(a:in std_logic_vector(2 downto 0);</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span><span style="mso-spacerun: yes;"> </span>d,b:out std_logic);</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">end fullsub;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">architecture fullsub of fullsub is</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">begin</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span>process(a)</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span>begin</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>if a="000" then d<='0';b<='0';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>elsif a="001" then d<='1';b<='1';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>elsif a="010" then d<='1';b<='1';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>elsif a="011" then d<='0';b<='1';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>elsif a="100" then d<='1';b<='0';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>elsif a="101" then d<='0';b<='0';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>elsif a="110" then d<='0';b<='0';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>else d<='1';b<='1';</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>end if;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span>end process;</span></b></div><div class="MsoNormal" style="color: blue;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">end fullsub;</span></b></div><div class="MsoNormal" style="color: blue;"><br />
</div><div class="MsoNormal" style="color: blue;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12pt; line-height: 115%;"><span style="color: black;">SIMULATION OUTPUT:</span></span></b></div><div class="MsoNormal" style="color: blue;"><br />
</div><img alt="" src="data:image/png;base64,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" /><br />
<br />
<b>RESULT:</b> Full adder is simulated and verified </div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-75532100525975424112011-09-26T10:07:00.000-07:002011-09-26T10:08:01.922-07:00Design and Verify Full Adder by Using Behavioural Model with if,elsif & then Statements<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>AIM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">Design and verify full adder by using behavioural model with if,elsif & then</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">statements.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>PROGRAM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>library ieee;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>use ieee.std_logic_1164.all;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>entity fulladder is</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> port(a:in std_logic_vector(2 downto 0);</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> s,ca:out std_logic);</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>end fulladder;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>architecture fulladder of fulladder is</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>begin</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> process(a)</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> begin</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> if a="000" then s<='0';ca<='0';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> elsif a="001" then s<='1';ca<='0';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> elsif a="010" then s<='1';ca<='0';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> elsif a="011" then s<='0';ca<='1';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> elsif a="100" then s<='1';ca<='0';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> elsif a="101" then s<='0';ca<='1';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> elsif a="110" then s<='0';ca<='1';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> else s<='1';ca<='1';</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> end if;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b> end process;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;">end fulladder;</span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">SIMULATION OUTPUT:</span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><img alt="" src="data:image/png;base64,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" /><b><span style="line-height: 115%;"><span style="color: black;"> </span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">RESULT: </span></span></b></span><span style="font-size: small; line-height: 115%;"><span style="color: black;">Full adder is simulated and verified </span></span><span style="font-size: small;"><b><span style="line-height: 115%;"> </span></b></span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-55363482329524375692011-09-26T09:44:00.000-07:002011-09-26T09:44:56.156-07:00Design and Verify Full Adder by Using Dataflow Style with Select Statement<div dir="ltr" style="text-align: left;" trbidi="on"><b>AIM:</b><br />
Design and verify full adder by using dataflow style with select statement<br />
<br />
<b>PROGRAM:</b><br />
<br />
<!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> <br />
<div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">Library ieee;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">use ieee.std_logic_1164.all;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">entity fa_select1 is</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span>port(a,b,c:in bit; sum,carry:out bit);</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">end fa_select1;</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">architecture df of fa_select1 is</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">begin</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 1;"> </span>with bit_vector'(a,b,c) select</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>(sum,carry)<=bit_vector'("00") when "000" ,</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("10") when "001",</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("10") when "010",</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("10") when "100",</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("01") when "110",</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("01") when "011",</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("01" )when "101",</span></b></div><div class="MsoNormal" style="color: blue; line-height: normal; margin-bottom: 0.0001pt;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; mso-bidi-font-family: TimesNewRomanPS-BoldMT;"><span style="mso-tab-count: 2;"> </span>bit_vector'("11") when "111";</span></b></div><div class="MsoNormal" style="color: blue;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12.0pt; line-height: 115%; mso-bidi-font-family: TimesNewRomanPS-BoldMT;">end df;</span></b></div><div class="MsoNormal" style="color: blue;"><br />
</div><div class="MsoNormal" style="color: blue;"><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12pt; line-height: 115%;"><span style="color: black;">SIMULATION OUTPUT:</span></span></b></div><div class="MsoNormal" style="color: blue;"><br />
</div><div class="MsoNormal" style="color: blue;"><img alt="" src="data:image/png;base64,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" /><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12pt; line-height: 115%;"><span style="color: black;"> </span></span></b></div><div class="MsoNormal" style="color: blue;"><br />
</div><div class="MsoNormal" style="color: blue;"><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12pt; line-height: 115%;"><span style="color: black;"><b>RESULT: </b>Full adder is simulated and verified </span></span><b><span style="font-family: TimesNewRomanPS-BoldMT; font-size: 12pt; line-height: 115%;"> </span></b></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-66132930723964441682011-09-26T09:39:00.000-07:002011-09-26T09:39:17.057-07:00Design and Verify Full Subtractor by Using Dataflow Style with Select Statement<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>AIM:</b><br />
Design and verify full subtractor by using dataflow style with select statement.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>PROGRAM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><!--[if gte mso 9]><xml> <w:WordDocument> <w:View>Normal</w:View> <w:Zoom>0</w:Zoom> <w:TrackMoves/> <w:TrackFormatting/> <w:PunctuationKerning/> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:DoNotPromoteQF/> <w:LidThemeOther>EN-US</w:LidThemeOther> <w:LidThemeAsian>X-NONE</w:LidThemeAsian> <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript> <w:Compatibility> <w:BreakWrappedTables/> <w:SnapToGridInCell/> <w:WrapTextWithPunct/> <w:UseAsianBreakRules/> <w:DontGrowAutofit/> <w:SplitPgBreakAndParaMark/> <w:DontVertAlignCellWithSp/> <w:DontBreakConstrainedForcedTables/> <w:DontVertAlignInTxbx/> <w:Word11KerningPairs/> <w:CachedColBalance/> </w:Compatibility> <w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel> <m:mathPr> <m:mathFont m:val="Cambria Math"/> <m:brkBin m:val="before"/> <m:brkBinSub m:val="--"/> <m:smallFrac m:val="off"/> <m:dispDef/> <m:lMargin m:val="0"/> <m:rMargin m:val="0"/> <m:defJc m:val="centerGroup"/> <m:wrapIndent m:val="1440"/> <m:intLim m:val="subSup"/> <m:naryLim m:val="undOvr"/> </m:mathPr></w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267"> <w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/> <w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/> <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/> <w:LsdException Locked="false" Priority="39" Name="toc 1"/> <w:LsdException Locked="false" Priority="39" Name="toc 2"/> <w:LsdException Locked="false" Priority="39" Name="toc 3"/> <w:LsdException Locked="false" Priority="39" Name="toc 4"/> <w:LsdException Locked="false" Priority="39" Name="toc 5"/> <w:LsdException Locked="false" Priority="39" Name="toc 6"/> <w:LsdException Locked="false" Priority="39" Name="toc 7"/> <w:LsdException Locked="false" Priority="39" Name="toc 8"/> <w:LsdException Locked="false" Priority="39" Name="toc 9"/> <w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/> <w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/> <w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/> <w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/> <w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/> <w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/> <w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/> <w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/> <w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/> <w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/> <w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/> <w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/> <w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/> <w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/> <w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/> <w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/> <w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/> <w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/> <w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/> <w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/> <w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/> <w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/> <w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/> <w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/> <w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/> <w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/> <w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/> <w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/> <w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/> <w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/> <w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/> <w:LsdException Locked="false" Priority="37" Name="Bibliography"/> <w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/> </w:LatentStyles> </xml><![endif]--><!--[if gte mso 10]> <style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;}
</style> <![endif]--> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span>library ieee;</span></b></span></div><div> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span>use ieee.std_logic_1164.all;</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span>entity flsub_select is</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>port(a:in bit_vector(2 downto 0);</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span><span> </span>s:out bit_vector(1 downto 0));</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span>end flsub_select;</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span>architecture beh of flsub_select is</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span>begin</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>with a select</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>s<=("00") when "000",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("11") when "001",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("11") when "010",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("01") when "011",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("10") when "100",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("00") when "101",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("00") when "110",</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b><span><span> </span>("11") when "111";</span></b></span></div><div style="text-align: justify;"> </div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;">end beh;</span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><br />
</div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">SIMULATION OUTPUT:</span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><br />
</div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><img alt="" height="194" src="data:image/png;base64,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" width="400" /><b><span style="line-height: 115%;"><span style="color: black;"> </span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><br />
</div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">RESULT: </span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><span style="line-height: 115%;"><span style="color: black;">Full subtractor using dataflow style with select statement is simulated and verified. </span></span><b><span style="line-height: 115%;"> </span></b></span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-28070716341622035322011-09-26T07:50:00.000-07:002011-09-26T07:59:04.287-07:00Design and Verify Full Adder by using Dataflow Style with Select Statement<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>AIM:</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;">Design and verify full adder by using dataflow style with select statement.</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><b>PROGRAM:</b></span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>Library ieee;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>use ieee.std_logic_1164.all;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>entity fa_select is</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> port(a:in bit_vector(2 downto 0); s:out bit_vector(1 downto 0));</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>end fa_select ;</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>architecture beh of fa_select is</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>begin</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> with a select</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> s<=("00")when"000",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("10")when"001",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("10")when"010",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("01")when"011",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("10")when"100",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("01")when"101",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("01")when"110",</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> ("11")when"111";</b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><b><span style="line-height: 115%;">end beh;</span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">SIMULATION OUTPUT: </span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><img alt="" height="192" src="data:image/png;base64,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" width="400" /><b><span style="line-height: 115%;"><span style="color: black;"> </span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;"><br />
</span></span></b></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;">RESULT: </span></span></b></span><span style="font-size: small; line-height: 115%;"><span style="color: black;"> </span></span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="color: blue; font-family: Verdana,sans-serif;"><span style="font-size: small; line-height: 115%;"><span style="color: black;">Full adder using dataflow style with select statement is simulated and Verified.</span></span><span style="font-size: small;"><b><span style="line-height: 115%;"><span style="color: black;"><br />
</span></span></b></span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-41524655926440409302011-09-25T11:50:00.000-07:002011-09-26T07:54:43.382-07:00C Program to Evaluate Algebraic Expression 2.5logx+cos32+|x*x-y*y|+sqrt (2*x*y)<div dir="ltr" style="text-align: left;" trbidi="on"><b>1) AIM:</b> C Program to Evaluate Algebraic Expression 2.5logx+cos32+|x*x-y*y|+sqrt (2*x*y)<br />
<br />
<b>2) ALGORITHM:</b><br />
<br />
Step1: start<br />
Step2: input x,y,v<br />
Step3: v=2.5*log(x)+cos(32*3.14/180)+mod(x*x-y*y)+sqrt(2*x*y)<br />
Step4: Result v<br />
Step 5: stop<br />
<br />
<b>3) FLOWCHART:</b><br />
<br />
<div style="text-align: center;"><img alt="" height="400" src="data:image/png;base64,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" width="312" /></div><div style="text-align: center;"><br />
</div><div style="text-align: justify;"><b>4) PROGRAM: </b></div><div style="text-align: justify;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>#include<math.h></b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>main()</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>{</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> float x,y,v;</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> clrscr();</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("enter x and y values");</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> scanf("%f,%f",&x,&y);</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> v=2.5*log(x)+(cos(32*3.14/180))+mod(x*x-y*y)+sqrt(2*x*y);</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("the value of v=%f",v);</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> getch();</b></span></div><div class="MsoNormal"><span style="font-size: small;"><b style="font-family: Verdana,sans-serif;"><span style="line-height: 115%;">}</span></b></span></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><span style="font-size: small;"><b style="font-family: Verdana,sans-serif;"><span style="line-height: 115%;">5) Result:</span></b></span></div><div class="MsoNormal"><span style="font-size: small;"><b style="font-family: Verdana,sans-serif;"><span style="line-height: 115%;"><br />
</span></b><span style="font-family: Verdana,sans-serif;"><span style="line-height: 115%;">Enter x and y values<br />
10<br />
20<br />
The value of v=</span></span><b style="font-family: Verdana,sans-serif;"><span style="line-height: 115%;"> </span></b></span><span style="line-height: 115%;"></span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-50269972162314272952011-09-25T11:40:00.000-07:002011-09-26T07:55:53.211-07:00C Program to Evaluate algebraic Expression (ax+b)/(ax-b)<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>1) AIM:</b> C Program to Evaluate algebraic Expression (ax+b)/(ax-b)</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>2) ALGORITHM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
Step1: start<br />
Step2: input a,b,x,s<br />
Step3: s= (a*x+b)/(a*x-b)<br />
Step4: Result s<br />
Step 5: stop</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><br />
</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>3) FLOW CHART:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: center;"><span style="font-size: small;"><img alt="" height="400" src="data:image/png;base64,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" width="187" /> </span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>4) PROGRAM:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"> </span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>main()</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b>{</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> int a,b,x;</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> float s;</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> clrscr();</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("enter the values of a,b,x...");</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> scanf("%d%d%d",&a,&b,&x);</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> s=(a*x+b)/(a*x-b);</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> printf("the value of s=%f",s);</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><br />
</div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: 0.0001pt;"><span style="font-size: small;"><b> getch();</b></span></div><span style="font-size: small;"><b> </b></span><br />
<div class="MsoNormal"><span style="font-size: small;"><b><span style="line-height: 115%;">}</span></b></span></div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><span style="font-size: small;"><b><span style="line-height: 115%;">5) Result:</span></b></span></div><div class="MsoNormal"><span style="font-size: small;"><b><span style="line-height: 115%;"><br />
</span></b></span><span style="font-size: small;"><span style="line-height: 115%;">Enter the values of a,b,x… 1 3 2<br />
The value of s=5</span></span></div></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-55833804873882336562011-09-25T11:25:00.000-07:002011-09-26T07:56:47.764-07:00ESTIMATION OF COPPER (IODOMETRY)<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif;"></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Aim: </b>To estimate the amount of Copper present in the given solution using a standard solution of Potassium Dichromate and Hypo as the link solution.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Apparatus: </b>100 ml standard flask, Funnel, Burette, Iodometric flask, Conical Flask, Pipette, Simple balance with weights</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Chemicals Required: </b>K2Cr2O7, Hypo (Na2S2O3), KI, Conc.HCl, dil. Acetic acid, NaHCO3, H2SO4, CuSO4, Ammonia solution, Starch & distilled water.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Principle: </b>Any cupric salt in neutral medium when treated with Potassium Iodide forms a white precipitate of cuprous iodide and iodine is set free quantitatively. The liberated Iodine is treated against Hypo using starch as the indicator.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Chemical reactions:</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">CuSO4 + 2KI -> CuI2 + K2 SO4</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">2CuI2 -> Cu2I2 + I2</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">I2 + 2Na2S2O3 + O2 -> Na2S2O6 + 2NaI</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">2CuSO4 = I2 = Na2S2O3</span><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Procedure:</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">1. <b>Preparation of standard potassium dichromate: </b>Weigh out accurately the given pure crystalline sample of potassium dichromate and transfer into 100 ml standard (volumetric) flask provided with a funnel. Dissolve the dichromate in a small quantity of distilled water, and make upto the mark. The contents in the flask are shaken well for uniform concentration. Calculate the normality of potassium dichromate.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">2. <b>Standardization of sodium thiosulphate: </b>Rinse the burette and fill it up with hypo solution without any air bubbles. Note the burette reading. Take about 20 ml of 10%KI solution in a clean conical flask and add 2 grams of sodium bicarbonate followed by 5 ml of concentrate HCl gently rotate the flask for mixing the liquids. Rinse the pipette with a little of potassium dichromate solution and then transfer 20 ml of the same to the conical flask. Shake it well, stopper it, and keep it in dark place for 5 minutes. Titrate the liberate iodine by running down hypo from the burette with constant stirring. When the solution attains a pale yellow colour add 2 ml of freshly prepared starch solution. The colour changes to blue. Continue the titration drop-wise till the colour changes from blue to light green indicating the end point. Repeat the titration for concurrent values.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">3. <b>Estimation of copper: </b>Make up the given solution of copper to 100 ml with distilled water and shake well for uniform concentration. Pipette out 20 ml of this solution into a clean conical flask. Add few drops of ammonia when bluish white precipitate is obtained. Redissolve the precipitate in dilute acetic acid. Now add 10 ml of 5% KI, when iodine is liberated giving a brown colour. Titrate this solution against standard hypo solution till light yellow colour is obtained. Now add 2 ml of starch solution and continue the titration till blue colour changes to creamy white, which is the end point. Repeat the titration for</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">concurrent values and calculate the amount of copper.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"> </span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Preparation of Standard solution:</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">W1 = Weight of bottle + substance = ____________ gms</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">W2 = Weight of bottle = ____________ gms</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">Weight of substance = (W1-W2) = ____________ gms.</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"> Normality of the solution (K2Cr2O7) =((W1-W2) X 10)/Equivalent Weight =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"> =( (W1-W2) X 10)/49</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><img alt="" height="130" src="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAioAAAC1CAIAAAD+/UNbAAAgAElEQVR4nO2dvWvkSPfv699Q7LQzZxMpUtLJJk4mUNCJgwk2ugIFAxssTCBoGBhYdqCgGViYi9EPwzIwGIr+sRiGBmEWzCAEw2IGIVjMc40QD6YZCt2gJHXprVr95paPzidru1/qnDpVX1XVqSqSIgiCIMiTQ45dAARBEGSIoPwgCIIgR6BBfgjpuyb1v4RI38CYGRRY3X2mqJ1m+UEQBEGQA6GSn8Pp3l7ofwmRvoExMyiwuvsMyg8yLDBmBgVWd59B+UGGBcbMoMDq7jMoPwrigH10TMNi94f8lW3h0WJqaoQQYliunzz1zy8j709qjQ3q8x2+hUfeJbV0gwa7fMsm9D+q+0S1lnnoTrSx4z0cuVydGXQXkS6jxTtTI4RouuUGyVO1sc7sID888maWToRtlAUP8c3Nt6qBjwE9I+I9zqLcRd4z6zRffzrdrv5aSlj8aP3L5R89o8GjwryY2doOZTswD57zs+M9pGns04mm2Sx+0tjiATUIIUTbSX64Tw0hoD2Qn5hZWsPSqGY6Fyx4cnVfSxGf1dK6XrQvX9ZrGYz85KblfrNYnP9Hcqw6vHveRfDEe2s6iyTliT8ztT4Wcmv5efCcsWbO/ISnacqjxcwyWjuRxHctg5DRxL2r/J+H7vm5G27bXBQl5NGVrWtEe+WGy/J/Yp9OTsx3i2jZ/En5SwJq9DK2eECNjSVnGc6va88Hu5VhR/lJcwXqg/ykad6hrJ5LssAmI5Pe7l2BePhl/u2x7WU37pl1WniPR9dTc9TY0LYv5F5q+XioqpuHzG7sl3jiz8wTc7pYL+S97SJS7lPjRR8LJrGl/DQ4nd+5r/9o60TyZ43ac1PMbLt47tiYjbqSnMeAvlaOe6Sv6Gls8ZjZG494koUzme2xlx+C/KRpXsL9jy8fPMeSfqjysiMl+Umz0ck+R5OQ5ScVQ94G63gwO+9mcl+7CGFaLwsmsYv8VObTeNPkW/H+2bnz4b05ItqE+pLcHFB+0jRZOPpJddKP+/S8ay/c19jaQn4ePGe8314e5WcHeOJN9dUPVV52pyo/2RTi/koLXH5Eu9CnXmldBMATKmj5SfmdOxnlqz7r5UM8TfwQE2L6L6yY+KrITxIwKtaTiGZOr9Z987rYegzoWbkp8pj9el4Za7f/6Cq2KqsU+TpBNmWcBOzCMU9s9hBm6QD6Lyxa5lM3pJilFN+aBJ8dc5RN1V+1rSvEAaOWrlXfVl2iqPdZPAlcS9eIZjruX/PF11i0sco092rpjhDddjOrxVLqhAb/Bq6tE1J9XCg+pU3eX7yROqZl5H3ISrtKheBJML9wzBPrk794Z2rFFFbx5pH5/oPTZ/nJ7M1L3i0Mrvy/puYor/R6dQuxKerj//zPlSO9zMM1Ca4ckVoyMp3PLevGtdFPQI3SSkba/lWNVSZbXa/l8kq7sHdMg1jMrrfH+SrAnpp1XUSTfsTMrq4ItDTG0seLJWcRP/kyc1ahhevCPB3AsFnIJVeXGlqn2udJwKgl1rDkt8kr3GTXBdpDsvXaT7GiQwjRdOuDp1xKyQezYhFMClNZfvidO9Gzdi6+vFIlm5YwrQ+u75n9pvRgqPzRcmiWmzr3qaFpFotXcZYv+yYLR9eKJWseXdn6SV4Gnni/WdPriKepaklwGbqvTjIvxYFr66Xlh7qsyr726fjnbMWL313OruPiI6t+6jGgZ9rEDXmaJrfUHBGDBrxYStUnzh+LaFkzOWT2WLevIp6mPJxPJy/yyOYBNbJlttink6wHL3pn890i+tdzftKdRZIuI/aLnj2CLKP5W/NF3+SnSrk3Xx8G00UYe1Ndn3rJj7bq5gE15EWm8ss0WUyt37LlyeSWmqOqojQUJu8i5ce79q9qrrJUUcuVlfbcXv3cmX2JeK2txczSDJuFRasvietTsb6LqEo4j9kbu9QkVY2x3EVUHl+Kdvojd93IdFwvWmajLs10LuZBwrNVqKIM3Wqfh+7kRHRW2ROnLP/9HZZJ7CA/aVp6KFCm/0pzqcuI/aITLYvvlfzUJpREJ97c6rqX8J5Zp8XgmofueWmub82PdpOftKYHindWHkzqvVuapvWBswjW4vtV8sMDajTkPzXJj1z47F9t7YdnE0TSj8rTMnIqRKknjZmllQ1MFo4urYj2e/KNR57rmFrpuX5d5ZbqpbW6lfLTpILN1V35fsOaVZbLW7+qpcpUtZxu0CIqLeueWS9qua9PQYcuQrgoX5bmd+75ryVXKxtjN/nZ6J0da/+eWadywl7iTXVJb4YgP4I4uBJTTq0ZmeWlPPG0penOIlnJT20Wu6Exb1NCHlAjS255DOh5uT7W/Oj+5SdmlrZ2ir++AiH+UpREPfoR86KVjOGK/AiWkffpQozx18tP4zxPaVzPI+8y+7o2+anPbvVaftI0zSdVCks3kZ/26lbKzz2zXnSbMJEKw+/cyWnT9gbVV9WqbE0t7yA/p09ZywWdOjFR8okb8pQHdGzVnlDbG+MB5Kdb7deTJsptDbL88ODzZblR8dCdNOWQ5O8vZ5IUKY/v31m28slxZ/nJumODBj/qSQdrfvQw8rN2KrYiNvW/KOUnFZ3KezEqzWbYavLDo+upqZvOH5feP36n0Y+yY+LRYmqemM7FpRf6itHPc5Sf7Gm0pDEbyE9zda+Tn46ddTnxOnQnWiWNuP2rmqtsX/JTmtYuzz8/Kd2eoZeh+0ojZzR4qCUdrGmMh5GfDrVfn1cYkvzMJvWMMqM1whoSGYuHdPlxqTR+qowuVaVXIr559NI8G1eLt+ZHDzb5Jk+SPNywv5sm3+TEwsqz5Dr5yRB7nqV10VKRisrqOPlW+VHxTtExlb5cPflWbRVifajX8iP6pq1GP+3V3WHyTV5S5cnNX17b5Ju0bpF4U70Uz21f9d+WKlPUcvHObvKT8sT/6Niv9OZZwSei6xSOCNSX5stxLRSVjfFgk29ra7+0rJCXcxiTb2JGK19GS7NUmdWa5zJ0X2kr1/DEmxp1Iams7og18CxJRuz8qm8a7VrC+g81H3Og/NFyFYoQeeWGS3l4QQwa8O7yU856Im0zlmJ+Ml9OS26pqUtPtUr5ib+48zwEQ3eiVbqV/9xc/hX+v1WLytLzNPvq6/X8239V7Sd0J5rII1imyVfXOdcJIUQz6P9eFS0hy+Q5ta68+fwfXn9GE48dmtjTF/uuM9GlXLLDs5n8FIs/q9hWhEFdflqrOw+tf5ObzyxcVl7mEbua+29ZOKk/KYssR6m7b/4qqfMqV9mP1lrOF/k6ys++95ltR+cVBOG3xqGqqjFWenlpql+cVySSs85o8NhdqLrVvkjoKE7TiX06OZmsEvZgy8/ny+C/UtpfJflNkp8iVzWvhtL3VHIBVumGnVK6O8dW48qH+kebzt4QWiUOUIq/UmNs0T+98O+Sgf7N6qVms+9XqzzpLLykhFd14nW2okaIblHWknhdNyq+ZZefXJFKrluzPAWBl7LeRQKIKIAfMlsjhuXeeKvDik4t9rV2QNEqlVYzZ1+994Zu0Usv4vmXi+zP8MrSNN1yfe/37FyTF7ouP1QWDtcm9OuXzI0dDqHYC60x03LoDiFGtXhrwuBU100pzluqO5t/FrlhtZfFYwEhLam3lQVqqd/M5xVWmtH0VY1VFpQzxcu1XG4Rv//f39vivJRFKXGM9OvW6q5RTT4s0dgYG4/nEVoljP03oC916302v716543f2tDyOb01tZ8VeZXaTgyLspbE6y32kz0RZB+pB0ej/yVE+gbGzBORiXRFyp86+wCru8+g/CDDAmPmSeCJ/+dsXt67udqF9nRgdfcZlB9kWGDMPAUxs7RKukEcsM9PNsVagNXdZ1B+kGGBMfMkyAvvlZWJJwWru8+g/CDDAmNmUGB19xmUH2RYYMwMCqzuPoPygwwLjJlBgdXdZ1B+kGGBMTMosLr7DHz54dFiZr994mPe9809c97svGWvuH1ni/v9KsdY7BUeebNfne23Z2/mnP5HNbJHBlrdT9umtga4/PDoyjZfu0Fc3dMuDiAo/XH9ARXS8cAk3wwfB5efN99JV84Largfof7T11PzrNgSvw2Vu9E2LPCh5IeHzD63XD/OrmPPXVI9pTT7c/PxnZs4p/9RjeyRIVb3k7eprQEtP8nCMX6WTo2rHzAqOmXFsRYF4vhO6Zi7dBl5rpNd1LZJocXxKqvjcPIrCyu3hDWYc0snP6vv31v305VDIdVv/j6f/3PgMeOD57xcnWVXnINeuY42O4tPHBPXQmfn9D+qkT0yvOo+QpvaGsDy8xjQl2Vhrx8oGbLXtqoCik+G7kRrOvgvWTjG601m9sRdVbWjVMUhg+uGFzygxi7HlmwgPzzx3k4OfEJ+gzktNxQ0nJje5dua6H9UI3tkaNV9lDa1NXDlp+Gmr7L8JL7rvO02v6m4eYjH8z/nneWHB9Ronv7KboWoPaRU3uVT48X2p9h2l59k4Rz8gpbGa7UePGdck+HH2i0sTXRzDkEGxlbB+Uw5TpvaGgJUfnjMbK2q2yv54dHV6+I29XUIzVg3yRYH7KNjGtbV34upqWmTpkGruDezeZGp26/Il2RnF7wTzXTcv+aLr6t7VlYnkZfnFVfyI0pCSndcknxJrHTe+6nF7tMkYBeOeSLfSh4wcag2IZo5vSpUXLxzTIPYdy2DlK6prjis+SbQhoPiY2afux0moWXntNL/qD4uwPyzxpzVafcjc3pdPiKINpxTrg7vRPxxZDruYv7lRlyq1NbKpFSgh+h6Ks5Qt68iXhyRPhKX9TUUtW2x4Ehtamugyk/jVXVCfgzr/RtTa7sXPO/Tyer8+fJll40US3kjc3odxQtH/8nxvgeurRNCiptCsvhryXEQqQGazVZRKzh3ox/5m6SbI7hPx/nKlnSYIw/dyYkQv8yWVQupX4fcduNI6T66SrNJ5YsssyaXyW3+Tv3cmX2JuOK6z8oFetW6k69Qi9kbu+wxcQvPyPF+lD6ovFYjp/9RfVyA+UdlTrJw9LFYXS9f1rwM3VcnWauJA9fWMyVQh/djQCf57MUyvPw4X90d19TKilSgLPFIXA01Mp2PLIjzK1GKqZrFtHhcTm6pOWqdQdmmTdU7vYJObWprgMpP8yyTCIWfHFc8XBgNeR3cn9kf/IRL4dhFfoovly+e+sOmt8nqHt/HrvLz4LtvWX5Lj0/H8trSKsJ4QI2GlLmK7oqYzn9xS/lJG25jlKO8dG2g+gbMAsWNeeUMEX7nnv9aflvWC5xU5UfR/Fb0P6qPCzD/qCdIVpsQeLSYTgyxuFu+NjRfr226CVsOb+5T41RKTVr9irKVSa2j/KwmDVmaLk9qiPNt21RDp1f+4PqLlbdkgPIjJt/EaLc8vC3xGNAzYrqRasGm/uVN0sJ9aoxM93uXybfKr/CAjkt/kaK5uK3cdC5Kl9GVA0i+b3Q/8lO/YVNeG9tdfvJPTdyQ1z0gSDxHb5afdZdr7TGqeehOWofRYox42nJL6epNO2zGOgg7+aeTyUrq07y7seEESdoURXLTVoS3eNAUDXKejyH2Ij+NKzp1dmxTqdzptXtjnwxUftK0mKgV8631r/gRuedyr91ar6Uvb5SW766pZ5cYrkk9qHz8MaDn5b+UH0aky55FYKX1y60PJD8lb+xbflZDxoeWBdJ2+dl69FO97XR9k9uD/Oy0GesgqPvr2rp+2Ve7yk9tmndn1pjTkGtab4kd5Sct7efLslv3JT+nHWbAdmxTaanTk23H0U8jW639yInX0WJqtkys3TNrXL6YvfHG9WXkfZGWiJrkJ2bW6mmuJfGa37mTkTYpLwZWZ97yklQDUexJkiJVblTyTMIeJ99K26dkb3eUn3VhLVTzpfly3Njq2uVnt7UfHlCjZSNeB5bh/Prbpp/caDPW4VnT6uvPNzxk9sv9JUep+9CNaTdHTEXIqx08ufnLy1Zl5MYux2qn8BaTK5rF4n1Ovmm69SGf2cuLWv7Z3dpUWu70pO/EtZ9G1BO7LZlv5cdVMbIh1WdYHl7ar+VRkbjCXdMtyuQsr9nMzTLc2uRnGbq/vpYXmcSoq7Tt9LNjjjTzXSUTjwfUKA2E01IiSvzFnefrTKE7yTJeeOLPTK1oVLFPJyeFqpXDnQfUyFK95VMYxMxe/s7k70v2nVeajbhHWbfdIM5+8aQQ1I7y05qlk/PgOeO2Ldkt8rOHzLed5CdZOJPZxg31uctPmvLg4/T5yU9azvAkZPV8KRp7njGU3FJTz3MKFOF9P3e/5CtJd+7kVERRp1YmPtUqP4qiltmpTdU7vRQz31SoSlipicZDdzLtWf01q5hkMbU+1NKF6zdo/Zk/jBR5zNoLXR+vapcn3m9Ww/KSyNIeZd+kW/SyfuhOfdtsWkrDj2/Z5SdXZD+vxCwt9CwvZH7Nl+yBrP2IZkaIbrvBvwF9qVvv84KIxBsxMynPuhRTl0UaqCTJsj81m32/qv2izJoZbR5Qo3UGrEl+Ou/7Ufx3B/l58JzxNjlCz1t+Hr95X/da8ieUnzTl0WKWtejKhXhxcDXNjtfSLSrWVteE939u2KcrV0yGyze9NreyH/KJOAb1/dVLzbr6LuUaCG9LRVWc0rJDm2rs9HDfjwJlCZu67y4ktzPno5/wNOVJ8PcOVzTyxP/ozIT2xIH3bcMJ8e+uaVYC5dCbkJ+YHcxpkJ+9nHpQlR/VPo98pxe7z58r5f6illPAI2+W7ZTKB47i789ZfpLF1JlLG85kk4V/JjT4N9uBIG+Ga/PG08oPPLZsUy2dHp56oGJNCZOFY5xvdmaRmFaSnkq2db2YBFv1SBsLYcysyhQtv3MnL1sXup8lD57zsj35sI3Ec7KOa6VAnZ2zifwo9nkUubDFdGt5h0Q1p+AxoGfZ2p6IsS7zk8egi/zIlOeOVuqb+0efOH8somV52qrdGyg/u7J5m2rr9A7f4YCWnzTl0ZW9qQL1k8R3rfNDH0B7BHjI7LPNFajMJs7ZcPJNtZRV3kxe26BXerM8h15+5zOUH2n0czuj11IaQsfclnZvoPzszpO3qa0BLj9pmqaJ70K47+fX2Zo7GZ4vceC+2e1ukg2ccyT5ESwj71N2ZQcM+ams/XRPrUzTZm+g/OyHJ21TWzMA+UEQiWPJD4+up6ZuOn9cev/4YEY/FTrLT6s3UH6GBMoPMiyOIz+lfVSAJt8qdJQfhTdQfoYEyg8yLA4rP5rN4v/cXP4V8vKbpZ2MWQatZl99vZ5/q23+ODZPIT8Kb6D8DAmUH2RYtMZM/dAd/6Z9n8fvi6vqdcU8urJ1Lbu1trrLSuyjEqf3+6E4ed31k4bNWEemvU1VDt2p7bAu2fLW/f1MeufX8taxuNkbadMOs0OZgxwflB9kWGDMqAHmH2DmAAPlBxkWGDNqgPkHmDnAQPlBhgXGjBpg/gFmDjBQfpBhgTGjBph/gJkDDJQfZFhgzKgB5h9g5gAD5QcZFhgzaoD5B5g5wED5QYYFxowaYP4BZg4wUH6QYYExowaYf4CZAwyUH2RYYMyoAeYfYOYAA+UHGRYYM2qA+QeYOcBYIz8IgiAIciBU8nM43dsL/S8h0jcwZtQA8w8wc4CB8oMMC4wZNcD8A8wcYKD8IMMCY0YNMP8AMwcYKD/IsMCYUQPMP8DMAQbKz55IAnbhmCd7uyYLORA9ipleAsw/wMwBxi7yw5Ng7h61z1WWMA6upqa4/0q3Zl5ULuIy8j5YukbIyJxeR7sWP78ma3+3NCIHAvsjNcD8A8wcYGwvPzyg45fmS+2YfW57CZfh5bvpVZCkacqjxdTUyNjxHvL/8sSb6uJWSh4yeyzu/d2NPV8SjBwI7I/UAPMPMHOAsePk25H73NYS8n/m8++rMpWuoBcvX6yuCo6Zpe1+sy/Kz/MA+yM1wPwDzBxgAJWfKvfMOi3khwfUKN0kf8+sFwb1d7MB5ed5gP2RGmD+AWYOMIYjP8bEveNp2lTme2adEoMGVSPigH10TMNiYbR4Z2qEEMNmIeeRN7N0Qog2oX6cvxnl53mA/ZEaYP4BZg4whiE/MbOMqZeIQtbFplF+eMxsjRBCRqbjetEyTR88Z0w007mYBwlPechsQ/oUys/zAPsjNcD8A8wcYAxBfh4852cp76Cj/KRpNk13mq8SCUEqZu0qtqP8PA+wP1IDzD/AzAEGePnhifebRW+lxLbuk28oPwDB/kgNMP8AMwcYwOWHh5+ms9tKUjUPqCGXmfvUOGlMPUD5gQf2R2qA+QeYOcCALD88YtMpy7eU8sS/oPP7NN0g8RrlBx7YH6kB5h9g5gADqvzwJHAtXStfLVEoR9dtpyg/8MD+SA0w/wAzBxg7yE/MrFX3ru28b2Yb2krIQ3dSkR5Cyqs7yyKX2potmg7deQzomWTdjb96eWqxr9kpOw0vd9/BihwQ7I/UAPMPMHOAsePo58j0v4RI38CYUQPMP8DMAQbKDzIsMGbUAPMPMHOAgfKDDAuMGTXA/APMHGCg/CDDAmNGDTD/ADMHGCg/yLDAmFEDzD/AzAEGyg8yLDBm1ADzDzBzgIHygwwLjBk1wPwDzBxgoPwgwwJjRg0w/wAzBxgoP8iwwJhRA8w/wMwBBsoPMiwwZtQA8w8wc4CxRn4QBEEQ5ECo5OdwurcX+l9CpG9gzKgB5h9g5gAD5QcZFhgzaoD5B5g5wED5QYYFxowaYP4BZg4wUH6QYYExowaYf4CZAwyUnz2RBOzCMU/wurm+06OY6SXA/APMHGBsLT88CT475ogQ0n5j28FRljAOrqamuHROt2ZepYDLyPtg6RohI3N6vXPh77Pr5vC2096D/ZEaYP4BZg4wtpQfHn6aTj8HCc+vDdXa7qs+KO0lXIaX76ZXQZKmKY8WU1MjY8d7yP/b9bLtTcDLtp8H2B+pAeYfYOYAYzv5efw2/xKuutmj9bytJeT/zOffV6XhPjU0zWLx6uULi91n/42Zpe1+QzbKz/MA+yM1wPwDzBxg7GXth8fM1nolP1XumXVayA8PqEFkvbln1guD+ruVHuXneYD9kRpg/gFmDjD2Jj8nEzd88o53E/kxJu4dT9Mmnbhn1ikxaFAtfxywj45pWCyMFu9MjRBi2CzkPPJmlk4I0SbUj/M3o/w8D7A/UgPMP8DMAcZe5OeeWaa0svJ0dC1hzCxj6iVCGOpi0yg/PGa2RgghI9NxvWiZpg+eMyaa6VzMg4SnPGS2IX0K5ed5gP2RGmD+AWYOMHaXH554b81j5B2kXUv44Dk/S+rYUX7SNJumO81XiYQgFbN2Fb1B+XkeYH+kBph/gJkDjJ3lJ1lMrQ9+cpw+t0MJeeL9ZtFbSR27T76h/AAE+yM1wPwDzBxg7CY//O5y+vFY2pN2KCEPP01nt5WRGQ+oIesE96lx0ph6gPIDD+yP1ADzDzBzgLGD/PCQTX9j0TJ7mdzO6HWs+sD+UZeQR2w6ZfmWUp74F3R+n6YbJF6j/MAD+yM1wPwDzBxgbCs/ie9aRvniBm3n3OWNaS8hTwLX0rVyCQvl6LrtFOUHHtgfqQHmH2DmAGMr+eF37mREquy+c3Nj2krIQ3ei1QpYWt1ZFrnULScGPQb0TFLWG3/18tRiX7NTdhpeHsEPSHewP1IDzD/AzAHGXhKvj0b/S4j0DYwZNcD8A8wcYKD8IMMCY0YNMP8AMwcYKD/IsMCYUQPMP8DMAQbKDzIsMGbUAPMPMHOAgfKDDAuMGTXA/APMHGCg/CDDAmNGDTD/ADMHGCg/yLDAmFEDzD/AzAEGyg8yLDBm1ADzDzBzgIHygwwLjBk1wPwDzBxgoPwgwwJjRg0w/wAzBxgoP8iwwJhRA8w/wMwBBsoPMiwwZtQA8w8wc4CB8oMMC4wZNcD8A8wcYKD8IMMCY0YNMP8AMwcYKD/IsMCYUQPMP8DMAQbKDzIsMGbUAPMPMHOAgfKDDAuMGTXA/APMHGCskR8EQRAEORAq+Tmc7u2F/pcQ6RsYM2qA+QeYOcBA+UGGBcaMGmD+AWYOMFB+kGGBMaMGmH+AmQMMlJ89kQTswjFPbBbzYxcFUdGjmOklwPwDzBxg7CA/PFpMTY0QQgzL9ZNDlG4dyhLGwVVWPqJbMy8qy8Iy8j5YukbIyJxeR7tKxj2zTgkhREP56TvYH6kB5h9g5gBja/l5uHEvFtEyTZfR4p2pnVrs/jAlVNFewmV4+W56FSRpIZNjx3vI/8sTb6rrv7BomfKQ2WPdWewsn48BPUP56T/YH6kB5h9g5gBjS/nh367n4TJ/dc+sU81i8f6Lt4bWEvJ/5vPvKx3gPjW0VQm5T40XK72MmaWd0eBxt7Kg/DwPsD9SA8w/wMwBxj7WfrhPjZ+kscXT0Tm2SgLJA2oQWW/umfXCoP5uuoHy8zzA/kgNMP8AMwcYO8oPTwJGrXObhUfpdDeRH2Pi3vE0bdKJe2adEoMGVRvigH10TMNiYbR4Z2qEEMNmIeeRN7N0Qog2oX4x5EP5eR5gf6QGmH+AmQOMXeQnX28nmm65QXKEbrdrbMXMMqZeVsK62DTKD4+ZrRFCyMh0XC9apumD54yJZjoX8yDhKQ+ZbUifQvl5HmB/pAaYf4CZA4ydJ9/yoYA2cZ9+BNQtth4852dpbrCj/KRpNk1XZFUIQSpm7Sp6g/LzPMD+SA0w/wAzBxh72fdztJ630/Sg95tFb6XEtu6Tbyg/AMH+SA0w/wAzBxj72XbKA2r0Un54+Gk6u60kVVdLy31qnDSmHqD8wAP7IzXA/APMHGDsRX54zOyT/k2+8YhNpyzfUsoT/4LO79N0g8RrlB94YH+kBph/gJkDjO3kZxm6r7T8KAEeXdnGuZQD9nS0l5AngWvpWvls70I5um47RfmBB/ZHaoD5B5g5wNhOfnjiz7LzbFaJYUegrYQ8dCcV6SGkvLqzLHKprdmi6dCdx4Ce5Z/UDHrjr16eWuxrnvVXf7n7DlbkgP1XV1MAAAQbSURBVGB/pAaYf4CZA4z9rP0ci/6XEOkbGDNqgPkHmDnAQPlBhgXGjBpg/gFmDjBQfpBhgTGjBph/gJkDDJQfZFhgzKgB5h9g5gAD5QcZFhgzaoD5B5g5wED5QYYFxowaYP4BZg4wUH6QYYExowaYf4CZAwyUH2RYYMyoAeYfYOYAA+UHGRYYM2qA+QeYOcBA+UGGBcaMGmD+AWYOMNbID4IgCIIcCJX8HE739kL/S4j0DYwZNcD8A8wcYKD8IMMCY0YNMP8AMwcYKD/IsMCYUQPMP8DMAQbKDzIsMGbUAPMPMHOAgfKDDAuMGTXA/APMHGCAlB/5pjhCVteVpml6j/fCDZz+R/VxAeafNnN4QA2pj9Aslt/WLC41zv5sUB9vLz4cIOUnTcUV4LpGtFduWLmJNfbp5MR8tzjSDa3Icel/VB8XYP5RmcNDZhuEjCbuXVljeOLPzBNz2ngNMrI/wMpP/hRTH+I8BvQ1jnsGS/+j+rgA888ac2JmaQ1DHB7MznHcc3gAy0+aJgtHP9GdRSL/kfv0fBZgZA2V/kf1cQHmn3XmPHjOmOhTL5F7BHxCfSJAy49YBNJsFhexxWP263l1rN2IvEpUw6AoYM+U/kf1cQHmn7Xm8IAapeXhNI2Zfe6GHRt4zCytrZvApaM1wJaf+uD6ntlvJDVK03QZea5j/uR4SdPnEWj0P6qPCzD/dDDnnlmn0gMlj9kbW1ajxHctgxBCdNsN4ubvQLYCuvyI2MoH1zx0z21WiqDs4UVH+RkI/Y/q4wLMPx3MEYvEY8d7SNM05Xfu+a/SE+pjMHtD/Tjld+5khNMe+wW8/IjBtUhueQzoeWmULfjhOSd1+cHJN5j0P6qPCzD/dDKH+9TQtIkb8pQHdGyxxjEOD6hBzt3oR+mvOPm2A/DlZ/XY8qMl6aBZfhCY9D+qjwsw/3QzZxm6rzRyRoMHVdJB5JqlhWRkVwYgP9ngevTSPBs3Poyg/AyJ/kf1cQHmn67mxMzSiPbSfDlum9jgMbNPWgZGyHYMQX5EBrbWeswBys+Q6H9UHxdg/ulszoPnjFXTZfzOtR2Ge9X3yjDkR2Rgty3YoPwMif5H9XEB5p/u5vCAGq0HcT1401+ojyOfPTMQ+VGC8jMk+h/VxwWYf/ZhTuzP3s6E9iTfPMy93h+Dl58fnnMi0lRQgQZB/6P6uADzz87mxD6dSKlteE7xPhm8/CADA2NGDTD/ADMHGCg/yLDAmFEDzD/AzAEGyg8yLDBm1ADzDzBzgIHygwwLjBk1wPwDzBxgoPwgwwJjRg0w/wAzBxgoP8iwwJhRA8w/wMwBBsoPMiwwZtQA8w8wc4CB8oMMC4wZNcD8A8wcYKD8IMMCY0YNMP8AMwcYa+QHQRAEQQ5Eq/wgCIIgyKH5/zI1tUsYFBddAAAAAElFTkSuQmCC" width="400" /> </span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N1 = Normality of Potassium dichromate =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">V1 = volume of Potassium dichromate = 20 ml</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N2 = Normality of Hypo =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">V2 = Volume of Hypo =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N1 V1 = N2 V2</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N2 = (N1 V<sub>1</sub>)/V2=</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N2 = Normality of Hypo =</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><img alt="" height="181" src="data:image/png;base64,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" width="400" /> </span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N3= Normality of Copper solution = </span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">V3 = volume of Copper Solution = 20 ml</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N4 = Normality of Hypo =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">V4 = Volume of Hypo =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">N3V3 = N4V4</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">Normality of Copper solution = N3= (N4V<sub>4</sub>)/V3</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;">Amount of Copper present in the whole of the given solution (100 ml) = (N3 X 63.54)/10 =</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span><br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><b>Result: </b>Amount of Copper present in the whole of the given solution (100 ml) = _______ gm.</span></div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span> <br />
<div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><br />
</div><span style="font-size: small;"><span style="font-family: Verdana,sans-serif;"> </span></span></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-53880421422092158102011-09-25T10:59:00.000-07:002011-09-26T07:57:59.896-07:00ESTIMATION OF FERRIC IRON (Redox Titrations)<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Aim: </b></span><span style="font-size: small;">To estimate the amount of Ferric iron in the whole of the given solution using a standard solution of Potassium Dichromate.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Apparatus: </b></span><span style="font-size: small;">100 ml standard flask, Burette, Conical Flask, 20 ml Pipette, Simple balance with weights</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Chemicals Required: </b></span><span style="font-size: small;">K</span><span style="font-size: small;">2</span><span style="font-size: small;">Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span><span style="font-size: small;">7</span><span style="font-size: small;">, SnCl</span><span style="font-size: small;">2</span><span style="font-size: small;">, HgCl</span><span style="font-size: small;">2</span><span style="font-size: small;">, HCl, Ferric ion solution, H</span><span style="font-size: small;">2</span><span style="font-size: small;">SO</span><span style="font-size: small;">4</span><span style="font-size: small;">, Ortho phosphoric acid, Diphenylamine & distilled water.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Principle: </b></span><span style="font-size: small;">Ferric Iron is reduced to ferrous iron by stannous chloride in the present of Hydrochloric acid at a temperature of 70-90</span><span style="font-size: small;">0</span><span style="font-size: small;">C.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">The excess stannous chloride is removed by the addition of mercuric chloride. The ferrous iron formed is titrated with standard Potassium dichromate solution in the presence of Sulphuric acid and Phosphoric acid using diphenylamine as indicator.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Chemical reactions:</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">2FeCl</span><span style="font-size: small;">3 </span><span style="font-size: small;">+ SnCl</span><span style="font-size: small;">2 </span><span style="font-size: small;">-></span><span style="font-size: small;"> </span><span style="font-size: small;">2FeCl</span><span style="font-size: small;">2 </span><span style="font-size: small;">+ SnCl</span><span style="font-size: small;">4</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">SnCl</span><span style="font-size: small;">2 </span><span style="font-size: small;">+ 2HgCl</span><span style="font-size: small;">2 </span><span style="font-size: small;">-></span><span style="font-size: small;"> </span><span style="font-size: small;">SnCl</span><span style="font-size: small;">4 </span><span style="font-size: small;">+ Hg</span><span style="font-size: small;">2</span><span style="font-size: small;">Cl</span><span style="font-size: small;">2</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">K</span><span style="font-size: small;">2</span><span style="font-size: small;">Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span><span style="font-size: small;">7 </span><span style="font-size: small;">+ 4H</span><span style="font-size: small;">2</span><span style="font-size: small;">SO</span><span style="font-size: small;">4 </span><span style="font-size: small;">-></span><span style="font-size: small;"> </span><span style="font-size: small;">K</span><span style="font-size: small;">2</span><span style="font-size: small;">SO</span><span style="font-size: small;">4 </span><span style="font-size: small;">+ Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">(SO</span><span style="font-size: small;">4</span><span style="font-size: small;">)</span><span style="font-size: small;">3 </span><span style="font-size: small;">+ 4H</span><span style="font-size: small;">2</span><span style="font-size: small;">O + 3(O)</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">2FeSO</span><span style="font-size: small;">4 </span><span style="font-size: small;">+ H</span><span style="font-size: small;">2</span><span style="font-size: small;">SO</span><span style="font-size: small;">4 </span><span style="font-size: small;">+ (O) </span><span style="font-size: small;">-></span><span style="font-size: small;"> </span><span style="font-size: small;">Fe</span><span style="font-size: small;">2</span><span style="font-size: small;">(SO</span><span style="font-size: small;">4</span><span style="font-size: small;">)</span><span style="font-size: small;">3 </span><span style="font-size: small;">+ H</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">K</span><span style="font-size: small;">2</span><span style="font-size: small;">Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span><span style="font-size: small;">7 </span><span style="font-size: small;">= 3(O) = 6Fe</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Procedure:</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">1. <b>Preparation of standard potassium dichromate: </b></span><span style="font-size: small;">Weigh out accurately the given pure crystalline sample of potassium dichromate and transfer into 100 ml standard (volumetric) flask provided with a funnel. Dissolve the dichromate in a small quantity of distilled water, and make upto the mark. The contents in the flask are shaken well for uniform concentration. Calculate the normality of potassium dichromate.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">2. <b>Estimation of ferric iron: </b></span><span style="font-size: small;">Make up the given ferric iron solution upto the mark of the 100ml standard flask with distilled water and shake well for uniform concentration. Pipette out 20ml of the ferric solution into a clean conical flask and 10ml of conc. HCl and heat the solution to boiling. The colour of the solution changes to clear yellow. Add stannous chloride solution drop by drop into the hot iron solution from a burette till the yellow colour disappears. Cool the solution under a tap and add 10 ml of saturated solution of mercuric chloride in one portion silky white precipitate of mercurous chloride is obtained,</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small; line-height: 115%;">(if the solution turns black, due to formation of finely divided mercury, discard the solution and take fresh solution of ferric iron and reduce) add 20 ml of the acid mixture and 3 to 4 drops of diphenylamine indicator and titrate against standard solution of potassium dichromate till the green colour changes to blue violet. Repeat the titration for concurrent value and calculate the normality of iron solution.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Preparation of Standard solution:</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">W1 = Weight of bottle + substance = ____________ gms</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">W2 = Weight of bottle = ____________ gms</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">Weight of substance = (W</span><span style="font-size: small;">1</span><span style="font-size: small;">-W</span><span style="font-size: small;">2</span><span style="font-size: small;">) = ____________ gms.</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">Normality of the solution (K</span><span style="font-size: small;">2</span><span style="font-size: small;">Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span><span style="font-size: small;">7</span><span style="font-size: small;">) =((W</span><span style="font-size: small;">1</span><span style="font-size: small;">-W</span><span style="font-size: small;">2</span><span style="font-size: small;">) X 10)/Equivalent Weight =</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"> =( (W</span><span style="font-size: small;">1</span><span style="font-size: small;">-W</span><span style="font-size: small;">2</span><span style="font-size: small;">) X 10)/49</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><img alt="" height="244" src="data:image/png;base64,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" width="400" /></span><span style="font-size: small;"> </span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0.0001pt; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">N</span><span style="font-size: small;">1 </span><span style="font-size: small;">= Normality of K</span><span style="font-size: small;">2</span><span style="font-size: small;">Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span><span style="font-size: small;">7 </span><span style="font-size: small;">solution =</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">N</span><span style="font-size: small;">2 </span><span style="font-size: small;">= Normality of Ferric iron solution = </span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">V</span><span style="font-size: small;">1 </span><span style="font-size: small;">= Volume of K</span><span style="font-size: small;">2</span><span style="font-size: small;">Cr</span><span style="font-size: small;">2</span><span style="font-size: small;">O</span><span style="font-size: small;">7 </span><span style="font-size: small;">solution =</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">V</span><span style="font-size: small;">2 </span><span style="font-size: small;">= Volume of Ferric iron solution = 20 ml</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b> </b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>N1 V1 = N2 V2</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b> </b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>N2 = (N1 V<sub>1</sub>)/V2</b></span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">Normality of Ferric iron solution = N</span><span style="font-size: small;">2 </span><span style="font-size: small;">= (N</span><span style="font-size: small;">1 </span><span style="font-size: small;">V<sub>1</sub>)/V</span><span style="font-size: small;">2</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">Amount of Ferric iron present in the whole of the given solution (100 ml) = (N</span><span style="font-size: small;">2 </span><span style="font-size: small;">X 55.85)/10</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;">=</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div class="MsoNormal" style="font-family: Verdana,sans-serif; line-height: normal; margin-bottom: 0in; text-align: justify;"><span style="font-size: small;"><b>Result: </b></span><span style="font-size: small;">Amount of Ferric iron present in the whole of the given solution (100 ml) = _______ gm.</span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com5tag:blogger.com,1999:blog-7736980599060834985.post-73111966186725288462011-09-25T10:34:00.000-07:002011-09-26T09:25:50.400-07:00ESTIMATION OF FERROUS IRON (Redox Titrations)<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>Aim:</b> To estimate the amount of Ferrous iron in the whole of the given solution using a standard solution of Potassium Dichromate.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Apparatus:</b> 100 ml standard flask,<br />
Burette,<br />
250 ml Conical Flask,<br />
20 ml Pipette,<br />
Funnel & Simple balance with Fractional weights.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Chemicals Required:</b> Potassium dichromate (K2Cr2O7)<br />
Sulphuric acid (H2SO4)<br />
Syrupy phosphoric acid (H3PO4)<br />
Diphenylamine<br />
Ferrous iron solution & distilled water.</span></div><div style="font-family: Verdana,sans-serif;"><span style="font-size: small;"><br />
<b>Principle:</b> Ferrous Iron is oxidized to Ferric iron by Potassium Dichromate in acid solution. The completion of oxidation reaction is marked by the appearance of Blue violet color of Diphenylamine, which is used as an internal indicator.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Chemical reactions:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>K2Cr2O7 + 4H2SO4 -> K2SO4 + Cr2(SO4)3 + 4H2 + 3(O)<br />
2FeSO4 + H2SO4 + (O) -> Fe2(SO4)3 + H2O<br />
K2Cr2O7 = 3(O) = 6Fe</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Procedure:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>1. Preparation of standard potassium dichromate:</b> Weigh out accurately the given pure crystalline sample of potassium dichromate and transfer into 100 ml standard (volumetric) flask provided with a funnel. Dissolve the dichromate in a small quantity of distilled water, and make upto the mark. The contents in the flask are shaken well for uniform concentration. Calculate the normality of potassium dichromate.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b><br />
2. </b><b>Estimation of Iron:</b> Make up given solution upto the mark with distilled water and shake the flask for uniform concentration. Rinse the pipette with the ferrous solution and pipette out 20ml into a clean conical flask add 20ml of the acid mixture (sulphuric acid and phosphoric acid), and four to five drop of diphenylamine indicator. Fill the burette with the prepared potassium dichromate solution after rinsing it, with the same. Titrate the solution in the conical flask against the standard potassium dichromate from the burette till the color changes to blue violet. Repeat the titrations for concurrent titre values. </span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span><span style="font-size: small;"><b>Preparation of Standard (K2Cr2O7) solution:</b></span><br />
<span style="font-size: small;"><b> </b><br />
W1 = Weight of bottle + substance(K2Cr2O7) = ____________ gms<br />
W2 = Weight of bottle = ____________ gms<br />
Weight of substance (K2Cr2O7) = (W1-W2) = ____________ gms.</span><br />
<span style="font-size: small;"> </span><br />
<span style="font-size: small;">Normality of the K2Cr2O7solution = ((W1-W2) X 10)/Equivalent Weight<br />
N = ((W1-W2) X 10)/49 =</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><img alt="" height="241" src="data:image/png;base64,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" width="400" /></span> </div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>Calculation:</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
N1 = Normality of K2Cr2O7 solution =<br />
N2 = Normality of Ferrous iron solution = </span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;">V1 = Volume of K2Cr2O7 solution =<br />
V2 = Volume of Ferrous iron solution = 20 ml</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span><br />
<div style="text-align: center;"><span style="font-size: small;"><b>N1 V1= N2 V2</b><br />
<b>N2 = (N1 V1)/V2</b></span></div><div style="text-align: center;"><span style="font-size: small;"><br />
</span></div><span style="font-size: small;">Normality of Ferrous iron solution = N2 = (N1 V1)/V2</span></div><div style="font-family: Verdana,sans-serif; text-align: left;"><span style="font-size: small;">Amount of Ferrous iron present in the whole of the given solution (100 ml) = <b>(N2 X 55.85)/10 =</b></span></div><div style="font-family: Verdana,sans-serif; text-align: center;"><span style="font-size: small;"><b><br />
</b></span></div><span style="font-size: small;"><b style="font-family: Verdana,sans-serif;">Result:</b><span style="font-family: Verdana,sans-serif;"> Amount of Ferrous iron present in the whole of the given solution (100 ml) = _______ gm.</span></span></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com2tag:blogger.com,1999:blog-7736980599060834985.post-24551280795817635902011-09-25T04:44:00.000-07:002011-09-26T09:26:20.607-07:00Program to To find the Square of a Given Number<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>Aim</b> To find the square of a given number.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Theory</b> The square of a number can be calculated by adding consecutive odd numbers starting from 1. In order to find the square of 3, add three odd numbers starting from 1. 1 + 3 + 5 = 9. To find the square of 5, 1 + 3 + 5 + 7 + 9 = 25.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Algorithm</b><br />
1. Set the number whose square is to be calculated as the counter.<br />
2. Add odd numbers starting from zero until counter is zero.</span><br />
</div><span style="font-size: small;"><b>Program</b></span><br />
<div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>4100: 90 42 00 MOV DPTR,#4200H<br />
4103: E0 MOVX A,@DPTR ; Number in Acc.<br />
4104: 60 0A JZ RESULT (4110) ; If number is zero, store it<br />
4106: FA MOV R2,A ; Set number as counter<br />
4107: 79 01 MOV R1,#01 ; First odd number<br />
4109: 74 00 MOV A,#00 <br />
410B: 29 LOOP: ADD A,R1 ; Add progressively<br />
410C: 09 INC R1 ; Next odd number<br />
410D:09 INC R1<br />
411E: DA FB DJNZ R2, LOOP (410B) ; Continue till counter = 0<br />
4110: A3 RESULT: INC DPTR ; Store result<br />
4111: F0 MOVX @DPTR,A<br />
4112: 80 FE HLT: SJMP HLT (4112)</b></span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><b>Procedure</b><br />
1. Enter the program in memory starting from 4100H.<br />
2. Enter the number in memory location 4200H.<br />
3. Execute the program and verify the result in 4201H.</span></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><span style="font-size: small;"><br />
<b>Test data</b><br />
Input: Output<br />
4200: 05 4201: 19 19H = 2510</span></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-8061208011256470582011-09-25T02:00:00.000-07:002011-09-26T09:26:48.051-07:00Program to Convert an 8-Bit Binary Number to Equivalent Gray Code<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><b>Aim</b> To write a program to convert an 8-bit binary number to equivalent gray code.</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><br />
<b>Algorithm</b><br />
1. The MSB in the gray code is the same as the corresponding bit in a binary number.<br />
2. Going from left to right, add each adjacent pair of binary digits to get the next gray code digit. Disregard carries.</div><br />
<div style="font-family: Verdana,sans-serif; text-align: justify;"><b>Program</b><br />
<br />
<b>4100: 90 42 00 MOV DPTR,#4200H ; Address of the number<br />
4103: E0 MOVX A,@DPTR ; Keep number in A<br />
4104: C3 CLR C ; Clear carry for rotation<br />
4105: 13 RRC A ; Rotate through carry<br />
4106: F9 MOV R1,A ; Rotated number in R1<br />
4107: E0 MOVX A,@DPTR ; Number again in A<br />
4108: 69 XRL A,R1 ; XOR rotated and original numbers<br />
4109: A3 INC DPTR<br />
410A:F0 MOVX @DPTR,A ; Store result in 4201<br />
410B: 80 FE HLT: SJMP HLT(410B)</b></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><br />
</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><b>Procedure</b><br />
1. Enter the program in memory starting from 4100H.<br />
2. Enter the number in memory location 4200H.<br />
3. Execute the program and verify the result in 4201H.</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><br />
<b>Test data</b><br />
Input: Output<br />
4200: 90 4201: D8</div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-75634314908658281172011-09-25T01:52:00.000-07:002011-09-26T09:27:12.358-07:00Program to Add two BCD Numbers<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif;"><b>Aim</b> To write a program to add two BCD numbers.</div><div style="font-family: Verdana,sans-serif;"><br />
</div><div style="font-family: Verdana,sans-serif;"><b>Description</b> One 8-bit packed BCD number is available in the memory location 4300H and another number is available in the memory location 4301H. Result is available in the location 4302H and carry in 4303H.</div><div style="font-family: Verdana,sans-serif;"><br />
</div><div style="font-family: Verdana,sans-serif;"><b>Algorithm</b></div><div style="font-family: Verdana,sans-serif;">1. Get the BCD numbers from the memory locations.</div><div style="font-family: Verdana,sans-serif;">2. Add the BCD numbers with the help of DAA.</div><div style="font-family: Verdana,sans-serif;">3. Store the sum and carry in the memory.</div><div style="font-family: Verdana,sans-serif;"><br />
</div><div style="font-family: Verdana,sans-serif;"><b>Program</b><br />
</div><div style="font-family: Verdana,sans-serif;"><b>4100: 90 43 00 MOV DPTR,#4300H ;Address of first BCD number<br />
4103: 79 00 MOV R1,#00 ;Carry is reset<br />
4105: E0 MOVX A,@DPTR ; First number in A<br />
4106: A3 INC DPTR ; Pointing to second number<br />
4107: F8 MOV R0,A ; First number in R0<br />
4108: E0 MOVX A,@DPTR ; Second number in A<br />
4109: 28 ADD A,R0 ; Add both numbers<br />
410A: D4 DA A ; Convert to BCD<br />
410B: 50 01 JNC NOC (410E) ; If no carry, skip<br />
410D:09 INC R1 ; Else increment carry<br />
410E: A3 NOC: INC DPTR<br />
410F: F0 MOVX @DPTR,A ; Result in destination address<br />
4110: E9 MOV A,R1 ; Carry in A<br />
4111: A3 INC DPTR<br />
4112: F0 MOVX @DPTR,A ; Store carry<br />
4113: 80 FE HLT: SJMP HLT (4113) ; Halt</b></div><div style="font-family: Verdana,sans-serif;"><br />
</div><div style="font-family: Verdana,sans-serif;"><b>Procedure</b></div><div style="font-family: Verdana,sans-serif;">1. Enter the program in memory locations starting from 4100H.</div><div style="font-family: Verdana,sans-serif;">2. Enter data in memory locations 4300H and 4301H.</div><div style="font-family: Verdana,sans-serif;">3. Execute the program and verify the sum in memory location 4302H and carry in 4303H.</div><div style="font-family: Verdana,sans-serif;"><br />
</div><div style="font-family: Verdana,sans-serif;"><b>Test data</b></div><div style="font-family: Verdana,sans-serif;">Input: 4300: 75 4301: 65 Output: 4302: 40 4303: 01<b> </b></div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0tag:blogger.com,1999:blog-7736980599060834985.post-45818718594505723922011-09-25T01:43:00.000-07:002011-09-26T09:27:39.995-07:00Program to Move a Block of Memory from one location to another<div dir="ltr" style="text-align: left;" trbidi="on"><div style="font-family: Verdana,sans-serif; text-align: justify;"><b>Aim</b>: To write a program to move a block of memory from one location to another. Five numbers stored in memory locations starting from 4500H must be moved to 4600H onwards.</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><b><br />
Algorithm</b><br />
1. Set byte counter.<br />
2. Get the number from the source and copy it in destination.<br />
3. Decrement counter and repeat the above step until the byte counter is reset.<br />
</div><div style="font-family: Verdana,sans-serif;"><b>Program</b></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><br />
</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><b>4100: 79 0A MOV R1,05H ; Length of block in R1<br />
4102: 90 45 00 MOV DPTR,#4500H ; First address in DPTR<br />
4105: E0 NEXT: MOVX A,@DPTR ; First no. in Acc.<br />
4106: 75 83 46 MOV DPH,46 ; 4600H in DPTR</b></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><b>4109: F0 MOVX @DPTR,A ; Number moved to 4600H<br />
410A:75 83 45 MOV DPH,45H ; 4500H in DPTR<br />
410D:A3 INC DPTR ; Points next location<br />
410E: D9 F5 DJNZ R1,NEXT (4105H) ; Continue till counter is reset<br />
4110: 80 FE HLT: SJMP HLT (4110H) ; Halt</b></div><div style="font-family: Verdana,sans-serif; text-align: justify;"><br />
</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><b>Procedure</b><br />
1. Enter the program in memory locations starting from 4100H.<br />
2. Enter five numbers in memory locations starting from 4500H.<br />
3. Execute the program and verify the result in memory locations starting from 4600H.</div><div style="font-family: Verdana,sans-serif; text-align: justify;"><br />
<b>Test data</b><br />
Input: 4500: 01 02 03 04 05<br />
Output: 4600: 01 02 03 04 05</div></div>Ritesh Jainhttp://www.blogger.com/profile/09222115871609081874noreply@blogger.com0